A'oa'o e ala ile silicon e ala ile (TSV) ma ala tioata e ala ile (TGV) tekonolosi ile tasi tusitusiga

Tekinolosi faʻapipiʻi o se tasi lea o faiga sili ona taua i totonu ole alamanuia semiconductor. E tusa ai ma le foliga o le afifi, e mafai ona vaevaeina i totonu o le pusa pusa, afifi luga o mauga, afifi BGA, pusa lapisi tele (CSP), afifi module chip tasi (SCM, le va i le va o uaea i luga o le laupapa matagaluega lolomi (PCB) ma le feso'ota'iga tu'ufa'atasia (IC) laupapa pad matches), multi-chip module package (MCM, lea e mafai ona tu'ufa'atasia ai meataalo eseese), wafer level package (WLP, e aofia ai le fan-out wafer level package (FOWLP), micro surface mount. vaega (microSMD), ma isi), pusa tolu-dimensional (micro bump interconnect package, TSV interconnect package, etc.), system package (SIP), chip system (SOC).

Fa'asologa o le Paketi lC (13)

O ituaiga o afifi 3D e masani lava ona vaevaeina i ni vaega se tolu: tanu ituaiga (tanumia le masini i le tele-layer uaea pe tanumia i totonu o le substrate), ituaiga substrate malosi (silicon wafer integration: muamua tu'ufa'atasia vaega ma wafer substrate e fausia ai se substrate malosi. ; ona fa'atulaga lea o laina feso'ota'iga tele, ma fa'apipi'i isi tupe meataalo po'o vaega ile pito i luga) ma fa'aputu ituaiga (silicon wafers fa'aputu i le silikoni. fasi meataalo, tupe meataalo ua fa'aputu i masi fa'asilika, ma tupe meataalo fa'aputu i tupe meataalo).

Fa'asologa o le Paketi lC (8)

O auala fa'afeso'ota'i 3D e aofia ai feso'ota'iga uaea (WB), pu'upu'u (FC), e ala i le silicon (TSV), ta'avale ata, ma isi.

Ua iloa e le TSV le feso'ota'iga tu'usa'o i le va o tupe meataalo. Talu ai ona o le laina fesoʻotaʻiga tusaʻo o loʻo i ai le mamao sili ona puupuu ma le malosi maualuga, e sili atu ona faigofie le iloa o le miniaturization, maualuga maualuga, maualuga faʻatinoga, ma multifunctional heterogeneous fausaga afifiina. I le taimi lava e tasi, e mafai foi ona faʻafesoʻotaʻi tupe meataalo o mea eseese;

i le taimi nei, e lua ituaiga o microelectronics gaosiga tekinolosi e faaaoga TSV faagasologa: afifiina matagaluega tolu-dimensional (3D IC tuufaatasia) ma le afifiina silicon tolu-dimensional (3D Si tuufaatasia).

O le eseesega i le va o ituaiga e lua o le:

(1) 3D fa'apipi'i ta'amilosaga e mana'omia ai le fa'apipi'iina o masini pu'upu'u e tu'u i totonu o patupatu, ma e feso'ota'i tu'utu'uga (fa'apipi'i e ala i le fa'apipi'i, fusi, uelo, ma isi), a'o le afifiina o le silicon 3D o se feso'ota'iga tuusa'o i le va o tupe meataalo (fa'amau i le va o oxides ma Cu. -Cu fusifusia).

(2) 3D circuit integration technology e mafai ona ausia e ala i le fusia i le va o wafers (3D circuit packaging, 3D silicon packaging), ae o le chip-to-chip bonding ma le chip-to-wafer bond e mafai ona ausia e ala i le afifiina o le 3D circuit.

(3) O loʻo i ai avanoa i le va o tupe meataalo ua tuʻufaʻatasia e le 3D circuit packaging process, ma e manaʻomia ona faʻatumu mea dielectric e fetuʻunaʻi ai le faʻaogaina o le vevela ma le faʻalauteleina o le vevela o le faiga e faʻamautinoa ai le mautu o mea faʻainisinia ma eletise o le faiga; e leai ni va i le va o tupe meataalo ua tuʻufaʻatasia e le 3D silicon packaging process, ma le faʻaaogaina o le eletise, voluma, ma le mamafa o le pu e laʻititi, ma o le eletise e sili ona lelei.

Fa'asologa o le Paketi lC (10)

O le faagasologa o le TSV e mafai ona fausia se ala fa'ailo sa'o e ala i le mea'ai ma fa'afeso'ota'i le RDL i le pito i luga ma le pito i lalo o le mea'ai e fausia ai se ala ta'i tolu-dimensional conductor. O le mea lea, o le TSV faagasologa o se tasi o maatulimanu taua mo le fausiaina o se fausaga masini passive tolu-dimensional.

E tusa ai ma le faʻatonuga i le va o le pito i luma o le laina (FEOL) ma le pito i tua o le laina (BEOL), o le TSV faagasologa e mafai ona vaevaeina i ni faiga faʻavae autu se tolu, e taʻua, e ala i le muamua (ViaFirst), e ala i le ogatotonu (Via Middle) ma e ala i le faagasologa mulimuli (Via Last), e pei ona faaalia i le ata.

Fa'asologa o le Paketi lC (9)

1. E ala i faiga etching

O le auala etching process o le ki lea i le gaosiga o le fausaga TSV. Filifilia o se faiga etching talafeagai e mafai ona faʻaleleia lelei le malosi faʻainisinia ma meatotino eletise o le TSV, ma faʻafesoʻotaʻi atili i le faʻatuatuaina atoa o masini tolu-dimensional TSV.

I le taimi nei, e fa TSV autu autu e ala i faiga etching: Deep Reactive Ion Etching (DRIE), etching susu, photo-assisted electrochemical etching (PAECE) ma le laser vili.

(1) O'o'o Ion Etching (DRIE)

Deep reactive ion etching, lea e taʻua o le DRIE process, o le TSV e sili ona masani ona faʻaaogaina, lea e masani ona faʻaaogaina e iloa ai le TSV e ala i fausaga e maualuga le fua faatatau. O faiga etching plasma masani e mafai ona ausia e na'o le loloto o le togitogiina o le tele o microns, faʻatasi ai ma le maualalo o le fua faʻatatau ma le leai o se filifiliga matapulepule etching. Ua faia e Bosch le fa'aleleia atili o faiga fa'atatau i lea fa'avae. I le faʻaaogaina o le SF6 e pei o se kesi faʻafefe ma tuʻuina atu le kasa C4F8 i le taimi o le etching process e avea o se puipuiga passivation mo sidewalls, o le faʻaleleia atili o le DRIE e talafeagai mo le togiina o fua faatatau maualuga. O le mea lea, e taʻua foi o le Bosch process pe a uma ona faia.

O le ata o lo'o i lalo o se ata o se fua fa'atatau maualuga e ala i le fa'apipi'iina o le faiga o le DRIE.

Fa'asologa o le Paketi lC (5)

E ui lava o le DRIE process e faʻaaogaina lautele i le TSV process ona o le lelei o le puleaina, o lona faʻaletonu o le faʻamaʻapeʻa o le itu e le lelei ma o le a tupu mai ni faʻaletonu maanuminumi foliga. O lenei faaletonu e sili atu ona taua pe a etching maualuga itu fua faatatau vias.

(2) Susū susū

E fa'aogaina le togitogi susu tu'ufa'atasiga o ufimata ma vaila'au e togi ai i pu. O le vaifofo etching e masani ona fa'aaogaina o le KOH, lea e mafai ona fa'amauina tulaga i luga o le silicon substrate e le o puipuia e le ufimata, ma fa'atupuina ai le fausaga o le pu. O le togi susu o le faiga muamua lea e ala i le pu. Talu ai o ona laasaga faʻagasologa ma meafaigaluega manaʻomia e faigofie tele, e talafeagai mo le gaosiga tele o le TSV i tau maualalo. Ae ui i lea, o lona vailaau etching e iloa ai o le pu e faia i lenei auala o le a aafia i le tulaga tioata o le wafer silicon, faia le etched e ala i-pu e le-tutusa ae faaalia ai se tulaga manino o le lautele pito i luga ma vaapiapi pito i lalo. O lenei faaletonu e fa'atapulaaina ai le fa'aogaina o le susū susū ile gaosiga ole TSV.

(3) Ata-fesoasoani eletise eletise (PAECE)

O le mataupu faavae o ata-fesoasoani electrochemical etching (PAECE) o le faʻaaogaina o le malamalama ultraviolet e faʻavave ai le faʻatupuina o paʻu eletise-pu, ma faʻavaveina ai le faagasologa o le etching electrochemical. Pe a faʻatusatusa i le faʻaogaina lautele o le DRIE process, o le PAECE process e sili atu ona talafeagai mo le faʻaogaina o le ultra-large large ratio i totonu o le pu e sili atu nai lo le 100: 1, ae o lona le lelei o le pulea o le loloto o le etching e sili atu le vaivai nai lo le DRIE, ma o lona tekinolosi e mafai mana'omia nisi su'esu'ega ma le fa'aleleia atili o faiga.

Fa'asologa o le Paketi lC (6)

(4) vili leisa

E ese mai metotia e tolu o loʻo i luga. O le auala e viliina ai leisa o se auala fa'aletino. E masani ona faʻaaogaina le laser irradiation maualuga-malosi e liusuavai ma faʻafefe ai mea faʻapipiʻi i totonu o le vaega faʻapitoa e iloa ai faaletino le fausiaina o le TSV.

O le pu e ala i le viliina leisa e maualuga lona fua fa'atatau ma o le puipui pito i luga e fa'atatau i luga. Ae ui i lea, talu ai e faʻaaogaina e le viliina leisa le faʻavevela faʻapitonuʻu e fausia ai le pu, o le puipui o le pu o le TSV o le a aʻafia leaga i le faʻaleagaina o le vevela ma faʻaitiitia ai le faʻalagolago.

Fa'asologa o le Paketi lC (11)

2. Fa'agasologa o le fa'aputuina o le laulau

O le isi tekinolosi autu mo le gaosiga o le TSV o le fa'agasologa o le fa'apipi'iina.

O le fa'agasologa o le fa'aputuina o le liner layer e fa'atino pe a uma ona togi le pu. O le fa'apipi'i fa'apipi'i e masani lava o se oxide pei ole SiO2. O le liner layer o loʻo tu i le va o le taʻavale i totonu o le TSV ma le substrate, ma e tele lava ina taʻalo le sao o le vavaeeseina o le DC leakage. E le gata i le teuina o le oxide, pa puipui ma fatu fatu e manaʻomia foi mo le faʻatumuina o le taʻavale i le isi gaioiga.

E tatau ona fa'amalieina mana'oga autu nei e lua:

(1) o le gau gau o le insulating layer e tatau ona ausia manaoga moni o galuega a le TSV;

(2) o le teuina o laulau e maualuga le tumau ma e lelei le pipii o le tasi i le isi.

O le ata o lo'o i lalo o lo'o fa'aalia ai se ata o le liner layer na teuina e le plasma enhanced chemical vapor deposition (PECVD).

Fa'asologa o le Paketi lC (1)

E mana'omia ona fetu'una'i le fa'agasologa o le tu'uina atu mo fa'agasologa o gaosiga TSV eseese. Mo le faʻagasologa o le pito i luma o le pu, e mafai ona faʻaogaina se faʻaogaina o le vevela maualuga e faʻaleleia ai le lelei o le faʻamaʻi oona.

E mafai ona fa'avae le tu'uina o le vevela masani i luga o le tetraethyl orthosilicate (TEOS) fa'atasi ma le fa'avevelaina o le vevela e fausia ai se tulaga maualuga maualuga SiO2 insulating layer. Mo le ogatotonu o le pu ma le pito i tua i totonu o le pu, talu ai ua maeʻa le BEOL i le taimi o le faʻapipiʻiina, e manaʻomia se auala maualalo e faʻamautinoa ai le fetaui ma mea BEOL.

I lalo o lenei tulaga, o le vevela o le tuʻuina atu e tatau ona faʻatapulaʻaina i le 450 °, e aofia ai le faʻaogaina o le PECVD e teu ai le SiO2 poʻo le SiNx e avea o se mea faʻapipiʻi.

O le isi auala masani o le fa'aogaina lea o le atomic layer deposition (ALD) e teu ai le Al2O3 ina ia maua ai se fa'amama fa'amama.

3. Faiga faʻatumu uʻamea

O le faʻatumuina o le TSV e faʻatinoina i le taimi lava e maeʻa ai le faʻaogaina o le liner, o se isi tekinolosi autu e fuafua ai le lelei o le TSV.

O mea e mafai ona faʻatumu e aofia ai le doped polysilicon, tungsten, carbon nanotubes, ma isi e faʻatatau i le faʻaogaina o le faʻaogaina, ae o le mea sili ona taua o loʻo faʻapipiʻiina pea le kopa eletise, ona o lona faagasologa e matua ma o lona eletise ma le vevela e fai si maualuga.

E tusa ai ma le eseesega o le tufatufaina o lona fua faatatau electroplating i totonu o le pu, e mafai ona matua vaevaeina i subconformal, conformal, superconformal ma lalo-luga auala electroplating, e pei ona faaalia i le ata.

Fa'asologa o le Paketi lC (4)

E masani ona fa'aogaina le fa'aogaina o le eletise i le amataga o su'esu'ega TSV. E pei ona faʻaalia i le Ata (a), o le Cu ions o loʻo tuʻuina atu e le electrolysis o loʻo faʻapipiʻi i le pito i luga, ae o le pito i lalo e le lava le faʻaopoopoina, lea e mafua ai le maualuga o le eletise i le pito i luga o le pu e sili atu nai lo le pito i luga. O le mea lea, o le pito i luga o le pu o le a tapunia muamua aʻo leʻi faʻatumu atoa, ma o le a faia se avanoa tele i totonu.

O lo'o fa'aalia i le Ata (b) le ata fa'ata'atia ma le ata o le auala fa'apipi'i eletise. E ala i le faʻamautinoaina o le faʻaopoopoina o le toniga o Cu ion, o le eletise eletise i tulaga taʻitasi i totonu o le pu e tutusa lava, o lea e naʻo se suʻega o le a totoe i totonu, ma o le voluma gaogao e laʻititi tele nai lo le auala eletise subconformal, o lea. e fa'aaogaina lautele.

Ina ia mafai ona ausia atili se aoga e leai se aoga e faʻatumu ai, o le superconformal electroplating method na fuafuaina e faʻamalieina le conformal electroplating method. E pei ona faʻaalia i le Ata (c), e ala i le faʻatonutonuina o le tuʻuina atu o Cu ion, o le faʻatumu o le pito i lalo e sili atu le maualuga nai lo isi tulaga, ma faʻapenaina ai le laasaga o le faʻatumuina o fua faatatau mai le pito i lalo i le pito i luga e faʻaumatia atoa ai le suʻega agavale. e ala i le conformal electroplating metotia, ina ia ausia atoatoa uʻamea uʻamea faatumu apamemea.

O le auala eletise pito i lalo e mafai ona manatu o se tulaga faʻapitoa o le auala sili-conformal. I lenei tulaga, o le eletise eletise sei vagana ai le pito i lalo o loʻo taofiofia i le zero, ma naʻo le eletise e faʻasolosolo malie mai le pito i lalo i le pito i luga. I le faaopoopo atu i le avanoa e leai se aoga o le conformal electroplating method, o lenei metotia e mafai foi ona faʻaitiitia lelei le taimi atoa electroplating, o lea na suʻesuʻeina lautele i tausaga talu ai nei.

4. RDL faiga tekinolosi

O le RDL fa'agasologa ose fa'atekonolosi fa'avae e mana'omia i le fa'asologa o fa'apipi'i tolu-dimensional. E ala i lenei faʻagasologa, e mafai ona gaosia fesoʻotaʻiga uʻamea i itu uma e lua o le substrate e ausia ai le faʻamoemoe o le toe tufatufaina atu o le taulaga poʻo le fesoʻotaʻiga i le va o afifi. O le mea lea, o le RDL fa'agasologa o lo'o fa'aaogaina lautele i le fan-in-fan-out po'o le 2.5D/3D fa'apipi'i faiga.

I le faagasologa o le fausiaina o masini e tolu-dimensional, o le RDL process e masani ona faʻaogaina e faʻafesoʻotaʻi ai le TSV e iloa ai le tele o fausaga masini e tolu-dimensional.

O lo'o i ai i le taimi nei e lua faiga fa'apitoa RDL. O le mea muamua e faʻavae i luga o polymers photosensitive ma tuʻufaʻatasia ma le faʻaogaina o le kopa eletise ma le etching process; o le isi o loʻo faʻatinoina e ala i le faʻaaogaina o le Cu Tamaseko faʻagasologa faʻatasi ma le PECVD ma le kemisi faʻamalama (CMP) faagasologa.

Ole mea o lo'o mulimuli mai o le a fa'ailoa mai ai auala fa'agasolo masani o nei RDL e lua.

Fa'asologa o le Paketi lC (12)

O le RDL faʻavae e faʻavae i le polymer photosensitive o loʻo faʻaalia i le ata o loʻo i luga.

Muamua, o se vaega o le PI poʻo le BCB kelu e faʻapipiʻiina i luga o le pito i luga o le wafer e ala i le fesuiaʻiina, ma a maeʻa le faʻamafanafanaina ma le faʻamalolo, e faʻaaogaina se faiga o le photolithography e tatala ai pu i le tulaga manaʻomia, ona faia lea o le etching. Sosoo ai, ina ua uma ona aveese le photoresist, Ti ma Cu e sputtered i luga o le wafer e ala i se faagasologa o le ausa tino (PVD) o se pa puipui ma se fatu fatu, faasologa. O le isi, o le vaega muamua o le RDL o loʻo gaosia i luga o le Ti / Cu faʻaaliga faʻaalia e ala i le tuʻufaʻatasia o le photolithography ma le electroplating Cu, ona aveese lea o le photoresist ma o le tele o Ti ma Cu e togi ese. Toe fai laasaga o loʻo i luga e fausia ai se fausaga RDL faʻapipiʻi tele. O lenei metotia o loʻo faʻaaogaina i le taimi nei i le alamanuia.

O le isi metotia mo le gaosiga o le RDL e faʻavae i luga o le Cu Damascus process, lea e tuʻufaʻatasia ai le PECVD ma le CMP.

O le eseesega i le va o lenei metotia ma le RDL process e faʻavae i luga o le polymer photosensitive o le laasaga muamua o le gaosiga o vaega taʻitasi, o loʻo faʻaaogaina le PECVD e teu ai SiO2 poʻo Si3N4 e avea o se mea faʻapipiʻi, ona fausia ai lea o se faamalama i luga o le faʻamalama faʻapipiʻi e photolithography ma etching ion reactive, ma Ti/Cu pa puipui/seed layer ma conductor apamemea ua sputtered faasologa, ona thinned le vaega conductor i le mafiafia manaomia e. CMP process, o lona uiga, o se vaega o le RDL poʻo le pu i totonu o le pu ua fausia.

O le ata o loʻo i lalo o se faʻataʻitaʻiga faʻataʻitaʻiga ma ata o le koluse o se RDL faʻapipiʻi tele na fausia e faʻavae i luga o le Cu Damascus process. E mafai ona maitauina o le TSV e muamua fesoʻotaʻi i le puʻu V01, ona faʻapipiʻi mai lalo i luga i le faʻasologa o le RDL1, V12, ma le RDL2.

O vaega ta'itasi o le RDL po'o le fa'aogaina o le pu e gaosia i le fa'asologa e tusa ai ma le auala o lo'o i luga.Talu ai o le faagasologa o le RDL e manaʻomia ai le faʻaogaina o le CMP process, o lona tau gaosiga e maualuga atu nai lo le RDL process e faʻavae i luga o le polymer photosensitive, o lona faʻaaogaina e matua maualalo.

Fa'asologa o le Paketi lC (2)

5. IPD faiga tekinolosi

Mo le gaosiga o masini e tolu-dimensional, faʻaopoopo i le tuʻufaʻatasia i luga o le chip i luga o le MMIC, o le IPD process e maua ai se isi auala faʻapitoa faʻapitoa.

Faʻapipiʻiina masini pasif, e taʻua foi o le IPD process, faʻapipiʻi soʻo se tuʻufaʻatasiga o masini passive e aofia ai inductors i luga o chip, capacitors, resistors, balun converters, ma isi mea i luga o se isi substrate e fausia ai se faletusi masini passive i le tulaga o se laupapa fesiitaiga e mafai. ia fetuutuunai valaau e tusa ai ma manaoga mamanu.

Talu ai i le faagasologa o le IPD, ua gaosia masini passive ma tuusaʻo tuʻufaʻatasia i luga o le laupapa fesiitaiga, o lona faagasologa tafe e sili atu ona faigofie ma taugofie nai lo le tuufaatasia o ICs i luga o le masini, ma e mafai ona gaosia tele i luma o se faletusi masini passive.

Mo le TSV tolu-dimensional passive masini gaosiga, IPD e mafai ona faʻamalieina le mamafa o tau o faʻagasologa faʻapipiʻi tolu-dimensional e aofia ai le TSV ma le RDL.

I le faaopoopo atu i tau lelei, o le isi avanoa o le IPD o lona maualuga fetuutuunai. O se tasi o le fetuutuunai o le IPD o loʻo faʻaalia i auala eseese tuʻufaʻatasia, e pei ona faʻaalia i le ata o loʻo i lalo. I le faaopoopo atu i auala autu e lua o le tuʻufaʻatasia saʻo o le IPD i totonu o le pusa pusa e ala i le faʻaogaina o le flip-chip process e pei ona faʻaalia i le Ata (a) poʻo le faʻaogaina o le faʻagasologa e pei ona faʻaalia i le Ata (b), e mafai ona tuʻufaʻatasia le isi vaega o le IPD i luga o le tasi laulau. o le IPD e pei ona fa'aalia i Ata (c)-(e) e ausia ai le lautele lautele o tu'ufa'atasiga o masini gaogao.

I le taimi lava e tasi, e pei ona faʻaalia i le Ata (f), e mafai ona faʻaogaina atili le IPD e fai ma laupapa faʻapipiʻi e tanumia saʻo ai le vaʻa tuʻufaʻatasia i luga e fausia saʻo ai se faiga faʻapipiʻi maualuga.

Tulaga o le Paketi lC (7)

A faʻaaogaina le IPD e fausia ai masini passive tolu-dimensional, TSV process ma RDL process e mafai foi ona faʻaaogaina. O le fa'agasologa o le fa'agasologa e tutusa lava ma le auala o lo'o ta'ua i luga o le tu'ufa'atasiga, ma o le a le toe faia; o le eseesega e faapea talu ai ua suia le mea o le tuufaatasia mai le pu i le laupapa adapter, e leai se manaomia e mafaufau i le aafiaga o le faagasologa afifiina tolu-dimensional i luga o le vaega galue ma le interconnection layer. O lenei mea e taʻitaʻia atili ai i se isi fetuutuunaiga autu o le IPD: o le tele o mea faʻapipiʻi mea e mafai ona filifili faʻalelei e tusa ai ma manaʻoga mamanu o masini passive.

O mea faʻapipiʻi o loʻo maua mo le IPD e le gata o mea masani semiconductor substrate e pei o Si ma GaN, ae faʻapea foi Al2O3 ceramics, low-veve / high-temperature co-fired ceramics, ipu tioata, ma isi. masini faʻapipiʻiina e le IPD.

Mo se faʻataʻitaʻiga, o le tolu-dimensional passive inductor structure ua tuʻufaʻatasia e le IPD e mafai ona faʻaogaina se ipu tioata e faʻaleleia lelei ai le faʻatinoga o le faʻaogaina. E ese mai i le manatu o le TSV, o pu e faia i luga o le ipu tioata e taʻua foi e ala i tioata vias (TGV). O le ata o le tolu-dimensional inductor gaosia e faʻavae i luga ole IPD ma TGV faiga o loʻo faʻaalia i le ata o loʻo i lalo. Talu ai o le resistivity o le substrate tioata e sili atu le maualuga nai lo mea masani semiconductor mea e pei o Si, o le TGV tolu-dimensional inductor e sili atu le insulation meatotino, ma o le faaofiina gau e mafua mai i le substrate aafiaga parasitic i ala maualuga e sili atu ona laʻititi nai lo le le masani TSV tolu-dimensional inductor.

Fa'asologa o le Paketi lC (3)

 

I le isi itu, uamea-insulator-u'amea (MIM) capacitors e mafai foi ona gaosia i luga o le tioata substrate IPD e ala i se faagasologa deposition ata tifaga manifinifi, ma fesootai ma le TGV tolu-dimensional inductor e fausia ai se fausaga faamama passive tolu-dimensional. O le mea lea, o le IPD faʻagasologa o loʻo i ai le avanoa lautele faʻaoga mo le atinaʻeina o masini passive tolu-dimensional.


Taimi meli: Nov-12-2024